Home
last modified time | relevance | path

Searched refs:wh (Results 1 – 1 of 1) sorted by relevance

/coreutils/src/
Dlonglong.h668 #define umul_ppmm(wh, wl, u, v) \ argument
674 (wh) = __x.__i.__h; \
1251 #define umul_ppmm(wh, wl, u, v) \ argument
1257 (wh) = __x.__i.__h; \
1993 #define umul_ppmm(wh, wl, u, v) \ argument
1996 (wh) = mpn_umul_ppmm (&__umul_ppmm__p0, (UWtype) (u), (UWtype) (v));\
2006 #define umul_ppmm(wh, wl, u, v) \ argument
2009 (wh) = mpn_umul_ppmm_r ((UWtype) (u), (UWtype) (v), &__umul_p0); \