Home
last modified time | relevance | path

Searched refs:gen_out (Results 1 – 2 of 2) sorted by relevance

/linux-4.19.296/fs/dlm/
Dmember.h29 struct dlm_slot **slots_out, uint32_t *gen_out);
Dmember.c167 struct dlm_slot **slots_out, uint32_t *gen_out) in dlm_slots_assign() argument
285 *gen_out = gen; in dlm_slots_assign()